范文网 论文资料 eda技术课程设计题目(集锦)

eda技术课程设计题目(集锦)

eda技术课程设计题目第一篇:eda技术课程设计题目EDA课程设计题目课程设计题目 设计一 数字式竞赛抢答器1、设计一个可容纳 6 组(或 4 组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。2、抢答器具有第一信号鉴别和锁存功能,使除第。

eda技术课程设计题目

第一篇:eda技术课程设计题目

EDA课程设计题目

课程设计题目 设计一 数字式竞赛抢答器

1、设计一个可容纳 6 组(或 4 组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出 2~3 秒的音响。

5、设置一个计分电路,每组开始预置 100 分,由主持人记分,答对一次加 10 分,答错一次减 10 分。

教学提示:

1、 此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到 第一信号后将输入封锁,使其它组的抢答信号无效。

2、 形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬 声器发出音响。

3、 计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减 10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。

设计二 数字钟

1、 设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、 熟练掌握各种计数器的使用。

3、 能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、 能用低位的进位输出构成高位的计数脉冲。

教学提示:

1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。

设计三 数字频率计

1、 设计一个能测量方波信号的频率的频率计。

2、 测量的频率范围是0?999999Hz。

3、 结果用十进制数显示。 教学提示:

1、 脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器 所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被 测信号的频率。

2、 被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。

3、 再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信 号的选择可以控制,即量程可以改变。

4、 时基信号经控制电路产生闸门信号至主控门, 只有在闸门信号采样期间内(时基信号的一个周期), 输入 信号才通过主控门。

5、 f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

6、 当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数 器和所有触发器复位,为新的一次采样做好准备。

7、 改变量程时,小数点能自动移位。

设计四 拔河游戏机

1、 设计一个能进行拔河游戏的电路。

2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按 一次,亮点移动一次。

4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使 亮点恢复到中心。

5、 用数码管显示获胜者的盘数。

教学提示:

1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。

2、 用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码 器输出,使中间一只二极管发亮。

3、 当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

4、 由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止 计数。

5、 将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行 一次计数,这样得到双方取胜次数的显示。

6、 设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。

设计五 乒乓球比赛游戏机

1、 设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、 用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED 指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。

3、 当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关, 即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

4、 一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。

5、 设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。

6、 甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球 才有效。

教学提示:

1、 用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由 击球者通过按钮输入开关信号,实现移位方向的控制。

2、 也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方 的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。

3、 任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反 方向移动,即失去1分。

4、 控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。

设计六 交通信号灯控制器

1、 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、 黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、 红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干 道亮绿灯时,主干道亮红灯。

4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、 25秒计时、显示电路。

5、 在每次由绿灯亮到红灯亮的转换过程中, 要亮5秒黄灯作为过渡, 使行驶中的车辆有时间停到禁行线外, 设立5秒计时、显示电路。

教学提示:

1、 主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。

2、 选择 1HZ 时钟脉冲作为系统时钟。

3、 45 秒、25 秒、5 秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所 需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动 另一计时电路。

4、 主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B, ;45 秒、25 秒、5 秒定 时信号(C,D,E) ,其输出状态控制相应的三色灯。主控电路可以由两个 JK 触发器和逻辑门构成, 其输出经译码后,控制主干道三色灯 R、G、Y 和支干道三色灯 r、g、y。

设计七 电子密码锁

1、 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿 灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、 在锁的控制电路中储存一个可以修改的 4 位代码,当开锁按钮开关(可设置成 6 位至 8 位,其中实际 有效为 4 位,其余为虚设)的输入代码等于储存代码时,开锁;

3、 从第一个按钮触动后的 5 秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并 由扬声器发出持续 20 秒的报警信号。 教学提示:

1、 该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比 较的方式,若两者相等,则形成开锁信号。

2、 在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信 号点亮 LED 指示灯;

3、 用按钮开关的第一个动作信号触发一个 5 秒定时器,若 5 秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动 LED 不断闪烁。

设计八 彩灯控制器

1、 设计一个彩灯控制器,使彩灯(LED 管)能连续发出四种以上不同的显示形式;

2、随着彩灯显示图案的变化,发出不同的音响声。 教学提示: 教学提示

1、彩灯显示的不同形式可由不同进制计数器驱动 LED 显示完成;

2、 音响由选择不同频率 CP 脉冲驱动扬声器形成。

设计九 脉冲按键电话显示器

1、 设计一个具有 8 位显示的电话按键显示器;

2、 能准确地反映按键数字;

3、 显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、 *设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

5、 *挂机 2 秒后或按熄灭按键,熄灭显示器显示。

教学提示:

1、 利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;

2、 设置一个计数器记录按键次数,从而实现数字显示的移位。

设计十 简易电子琴

1、 设计一个简易电子琴;

2、 利用实验箱的脉冲源产生 1,2,3,……共 7 个或 14 个音阶信号;

3、 用指示灯显示节拍;

4、 *能产生颤音效果。

教学提示:

1、 各音阶信号由脉冲源经分频得到。

设计十一 出租车自动计费器

1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示 总金额,最大值为 99.99 元;

2、 行车里程单价 1 元/公里,等候时间单价 0.5 元/10 分钟,起价 3 元(3 公里起价)均能通过人工输入。

3、 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每 100 个脉冲表示 1 公里,然后用 BCD 码比例乘法 器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是 1.0 元/公里,则脉冲当量为 0.01 元/脉冲。

4、 用 LED 显示行驶公里数,两个数码管显示收费金额。

教学提示:

1、 等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如 100 个脉冲表示 10 分钟,而 10 分钟收费 0.5 元,则脉冲当量为 0.05 元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0.01 元/脉冲) ,则 10 分钟内的脉冲数应为 500 个。

2、 用 LED 显示等候时间,两个数码管表示等候时间收费金额。

3、 用加法器将几项收费相加,P=P1+P2+P3,

4、 P1 为起价,P2 为行车里程计费,P3 为等候时间计费,用两个数码管表示结果。

设计十二 洗衣机控制器

1、 设计一个电子定时器,控制洗衣机作如下运转:定时启动→正转 20 秒→暂停 10 秒→反转 20 秒→暂停 10 秒→定时未到回到“正转 20 秒→暂停 10 秒→……” ,定时到则停止;

2、 若定时到,则停机发出音响信号;

3、 用两个数码管显示洗涤的预置时间 (分钟数) 按倒计时方式对洗涤过程作计时显示, , 直到时间到停机; 洗涤过程由“开始”信号开始;

4、三只 LED 灯表示“正转”、“反转”、“暂停”三个状态。

教学提示:

1、 设计 20 秒、10 秒定时电路。

2、 电路输出为“正转”、“反转”、“暂停”三个状态。

3、 按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。 13. 可控脉冲发生器

内容及要求:

实现周期、占空比均可调的脉冲发生器。 (1)采用1khz的工作时钟;

(2)脉冲周期0.5s~6s,占空比10%~90%; (3)可初始化:周期2.5s,占空比50%;

14. 8bit序列检测器

内容及要求:

完成从2bit输入码流中检测特定8bit数据的电路,具体要求如下: (1)输入2bit码流,msb在前,4个周期的数据组成一个结构化字节; (2)检测序列0x7e;

(3)成功检测到特定序列后,点亮一个LED;

(4)操作中采用开关作为数据输入,按键作为有效数据指示信号; (5)工作时钟选择1k即可;

(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

15人表决电路设计

内容及要求

完成7人表决电路设计,LED灯表示通过、否决。 (1)开关表示赞成与否,1~8编号(1赞成); (2)LED显示表决的结果;

(3)数码管分别显示赞成、否决的人数; (4)工作时钟100Hz即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

16排队电路设计

内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2)初始时队长0,进队号码由1顺序递增,输出编号; (3)有人入队,长度加,有人离队长度减; (4)工作时钟适当即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

17算术计算器电路设计

内容及要求

实现一位十进制数的加减乘除元算,结果显示在数码管上。 (1)键盘作为运算数据输入; (2)不同位置的按键代表运算符; (3)2个数码管显示运算结果; (4)支持连续运算;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

18电梯控制器电路设计

内容及要求

设计智能电梯运行控制电路,板级验证用按键/开关与数码管/LED表示输入与输出。 (1)楼层4层;

(2)梯内显示当前楼层、梯外各层显示当前电梯所在楼层;

(3)1层只有向上按钮,4层只有向下按钮,其余各层均有上下按钮; (4)电梯具有锁定功能(梯内);

(5)超重/超员报警(电路有空载、超重、乘客入梯指示输入); (6)采用Altera的cyclone器件,目标板soc实验室开发板; (7)设计相应的调度算法,使运营成本最低;

(8)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、后仿真、下载验证等。 19 LCD模块接口电路设计

内容及要求

实现NiosII驱动240X128LCD显示。

(1)T6963C控制器,显示模块与CPU的接口采用标准的8位微处理器接口,即D0~D

7、nRD、nWR、nCE以及C/D信号,当C/D为‘1’时,选中指令通道,数据总线上的数据均为指令;当C/D为‘0’时,选中数据通道,数据总线上的数据为写入LCD或读出的有效数据。8bit输入,串行输出,伴有串行信号msb指示;

(2)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

20 音频信号存储与播放

内容及要求

(1)4.096s音频信号,8kA/D转换为8bit数据,共32768字节,存储播放; (2)音频数据自行解决,可采用语音传感器采集; (3)扬声器播放;

(4)可以部分播放,时长可控;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

21 脉宽调制电路设计

内容及要求 脉宽调制电路。 (1)脉宽可调; (2)周期可调;

(3)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

22. 计时器电路设计

内容及要求

设计时、分、秒计时器电路,数码管显示输出。 (1)输入10K精准时钟;

(2)具有时、分、秒计时功能,24小时制; (3)时分秒均可手动调整校正;

(4)计时信息有6个数码管显示输出,格式hhmmss;或者8个数码管显示输出,格式hh:mm:ss; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

23 FIR滤波器电路设计

内容及要求

完成有限冲激响应低通数字滤波器设计。 (1)滤波频率20kHz;

(2)增益不作要求,抽头系数自行计算; (3)同步电路设计;

(4)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。

24自动售货机电路

内容及要求

设计自动售货机电路,要求如下:

(1)待售物品价格1元、2元、3元、五元; (2)只接受1元、5元、10元币值; (3)机内存有1元零钱无限;

(4)投入钱币之前认为售货机为空闲状态;投入钱币后需要按下物品标签吐出商品; (5)自动找零;

(6)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。 25. 排队电路设计

内容及要求

单窗口排队机电路,给每个新来者编号,并计算队伍长度。

(1)进队、离队两个信号作为输入,当前服务号码和队长各由4个数码管显示; (2)初始时队长0,进队号码由1顺序递增,输出编号; (3)有人入队,长度加,有人离队长度减; (4)工作时钟适当即可;

(5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、时序仿真、下载验证等。

26信号发生器系统设计

内容及要求

设计一个简易信号发生器。要求能够产生正弦波、方波、锯齿波和三角波信号,并能够实现调频功能;最终能在示波器上观察到各种波形。

27 汽车尾灯控制器设计

内容及要求

根据现代交通规则,汽车尾灯控制器应满足以下基本要求: (1)汽车正常使用时指示灯不亮; (2)汽车右转时,右侧的一盏灯亮; (3)汽车左转时,左侧的一盏灯亮;

(4)汽车刹车时,左右两侧的指示灯同时亮;

(5)汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用。

28 简易音乐播放器

内容及要求

产生音乐的两个因素是音乐频率和音乐的持续时间,以纯硬件完成演奏电路比利用微处理器(CPU)来实现乐曲演奏要复杂的多如果不借助于功能强大的EDA工具和硬件描述语言,凭借传统的数字逻辑技术,即使最简单的演奏电路也难以实现。根据设计要求,乐曲硬件演奏电路系统主要由数控分频器和乐曲存储模块组成。数控分频器对FPGA的基准频率进行分频,得到与各个音阶对应的频率输出。乐曲存储模块产生节拍控制和音阶选择信号,即在此模块中可存放一个乐曲曲谱真值表,由一个计数器来控制此真值表的输出,而由计数器的计数时钟信号作为乐曲节拍控制信号。 29自动售邮票机

内容及要求

设计一个自动售邮票机,用开关电平信号模拟投币过程,每次投一枚硬币,但可以连续投入数枚硬币。机器能自动识别硬币金额,最大为1元,最小为5角。设定票价为2.5元,每次售一张票。

购票时先投入硬币,当投入的硬币总金额达到或超过票的面值时,机器发出指示,这时可以按取票键取出票。如果所投硬币超过票的面值则会提示找零钱,取完票以后按找零键则可以取出零钱。

30看门狗设计

内容及要求

1.看门狗电路时电子系统,是嵌入式系统中常用的抗干扰措施之一,其作用是在程序“跑 飞”后强制系统复位。

2.看门狗实际上是一个计数器,它需要在一定时间内被清零,否则,看门狗将产生一个复位信号使系统重新启动。 3. 系统主要由3个功能模块构成: 1) 计数比较模块; 2) 分频模块; 3) 复位计时模块

第二篇:2018春EDA课程设计安排及参考题目[大全]

EDA课程设计安排

要求:

1、至少完成一个设计任务,撰写课程设计报告,交A4纸打印稿,字数不限,左侧装订,单独答辩考核,提前完成可提前答辩。

2、课程设计过程:选题、查资料、设计、实验箱上实现(或者自己做实物)、写报告、答辩。

3、参考题目和要求附后,自己选定题目后查阅资料进行设计,需要实验验证的可以到实验室(1C06 325)进行实验验证。

4、可以自己选择参考课题以外的课题,但难度和工作量不能少于参考题目的。

5、辅导答疑:办公室(1C06 321),具体时间另行安排。

6、实验室开放时间:上午8:30到 下午3:00,与李帅老师联系,进实验室时一定要穿鞋套。

7、课程设计报告必须交,每人单独答辩,考核内容程序设计、仿真调试、硬件实现。

8、答辩时间另行通知。

电话:13846150185 QQ:276432828 邮箱:wq.wangquan@163.com 办公室:1C06 321

EDA课程设计参考题目及要求

可自选其它题目

课程设计题一:八人抢答器

要求:

1、八人抢答逻辑:只有一个最先抢答有效。

2、在主持人控制下,10秒内抢答有效。

3、采用数码管显示抢答10秒倒计时,若有抢答直接结束,显示结果。

4、抢答结束后用数码管显示抢答结果:抢答有效人编号;若有异常(提前抢答,犯规),显示抢答人编号和E,本次抢答结束 。

5、设主持人控制键、复位键。

控制键:启动抢答

复位键:系统复位

6、开始、正常结束、抢答结束、违规抢答采用声音提示。

课程设计题二:7人多数表决器

要求:

1、7人多数表决逻辑:多数通过。

2、在主持人控制下,10秒内表决有效。

3、采用数码管显示表决10秒倒计时。

4、表决结束后用发光二极管及数码管显示表决结果,数码管显示结果:通过、不通过,同意人数。

5、设主持人控制键、复位键。

控制键:启动表决

复位键:系统复位

6、表决开始、结束采用声音提示。

课程设计题三:日历和数字种

要求:

1、数字钟:时、分,小时用二十四进制显示,分钟用六十进制显示。

2、每月日历为30天,并显示星期几。

3、显示采用动态数码管显示方式或静态显示方式。

动态时显示八位:日期 星期几 小时 分钟

静态时显示四位:分别显示(日期30天 星期)和(小时 分钟)采用控制键切换或周期轮流显示。

4、具有清零的功能,且能够对小时、分钟进行调整(校时)。

5、具有整点、半点报时功能,整点用声音次数报时,半点特殊声音报时。

课程设计题四:LED彩灯控制器设计

要求:

1、至少10个发光管4种花样自动变换,循环往复。

2、彩灯花样变换的快慢节拍可以手动和自动方式控制,手动控制按钮按一次转换一次;自动控制方式每15秒变换一次,1分钟循环一遍。

3、系统复位清零开关。

课程设计题五:汽车尾灯控制器设计

要求:

1、汽车车尾左右两侧各有四盏灯:黄灯、红灯、雾灯、倒车照明灯,前面有照明灯(远光、近光)、黄灯、雾灯

2、白天正常行驶时照明灯都不亮,夜间行驶时两个前照明灯都亮。

3、左转弯时左侧黄灯闪亮(2Hz),右转弯时右侧黄灯闪亮。

4、汽车刹车时,两个红灯都亮。

5、能见度低或者夜间行驶时雾灯点亮。

6、倒车时红灯、倒车照明灯亮。

课程设计题六:秒表

要求:

1、计时范围:0~59分59.59秒,整数四位数和小数两位数显示;

2、计时精度10毫秒;

3、复位按钮,计时器清零,并做好下次计时准备;

4、可以对两个对象(A、B)计时,具有启/停控制;

3、设开始、停止A、停止B、显示A、显示B、复位按钮。

课程设计题七:交通灯控制器

要求:

1、红灯和绿灯相互转换时经过黄灯,黄灯闪烁三次(6秒);

2、主干道方向通行30秒,辅干道方向通行20秒,单独左转信号15秒;先直行信号,后左转信号。

3、设手动、自动、特殊情况三种方式。

4、特殊情况时所有路口红灯都亮,给120、110等通行。

5、自动时显示各种状态倒计时。

课程设计题八:篮球比赛计分器

要求:

1、两队各三位显示,共计六位数码显示;

2、设A、B队加、减分按键,复位键;

3、加减分实现1分、2分、3分加减。

课程设计题九:循环码编码器和译码器设计

要求:

1、单独设计一个8位循环码编码器;

2、单独设计一个8位循环码译码器;

3、两个模块连在一起工作。

课程设计题十:简易电子琴设计

要求:

1、设置三种音阶:低音、中音、高音控制按键;

2、设计

1、

2、

3、

4、

5、

6、7音(哆来咪法搜拉稀);

3、能弹奏一首简单的儿歌。

课程设计题十一:拔河游戏机设计

要求:

1、电路使用9个发光二极管表示拔河的“电子绳”,开机后只有中间一个发亮,此即拔河的中心点。游戏甲乙双方各持一个按钮,迅速地、不断地按动产生脉冲,谁按得快,亮点向谁方向移动,每按一次,亮点移动一次。亮点移到任一方终端二极管,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

2、由裁判下达比赛开始命令后,甲乙双方才能输入信号,否则,输入信号无效。

3、用数码管显示获胜者的盘数,每次比赛结束自动给获胜方加分。

课程设计题十二:电子密码锁

要求:

1、在锁开的状态下输入密码,设置的密码共4位,用数据开关K1∼K10分别代表数字

1、

2、…、

9、0,输入的密码用数码管显示,最后输入的密

码显示在最右边的数码管上,即每输入一位数,密码在数码管上的显示左移一位。可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的位上补充“0”。

2、用一位输出电平的状态代表锁的开闭状态,绿色发光管指示。

3、为保证密码锁主人能打开密码锁,设置一个万能密码,在主人忘记密码时使用。

第三篇:沈阳理工大学 EDA技术课程设计教学大纲

《EDA技术课程设计》课程教学大纲

课程代码:030351001 课程英文名称:Course Design of EDA Techniques

课程总学时:2周 讲课:□□ 实验:□□ 上机:□□ 适用专业:电子信息工程专业

大纲编写(修订)时间:2010年6月

一、大纲使用说明

本大纲根据电子信息工程专业2010版教学计划制定。

(一)适用专业

电子信息工程专业。

(二)课程设计性质

必修。

(三)主要先修课和后续课程

先修课:《数字电路》、《EDA技术》

后续课:《微机原理与接口》、《毕业设计》

二、 课程设计目的及基本要求

本课程设计是为配合《EDA技术》课程的学习而设立的,通过本课程设计,可使学生更加深刻地理解《EDA技术》课程讲述的内容;培养学生独立思考、解决实际工程问题的能力;提高学生用硬件描述语言设计电路的能力,为后续专业课程学习打下坚实基础。

①使学生掌握利用熟悉一种到两种EDA软件进行电子系统设计的基本方法和技巧,即能熟练进行设计输入、编译、管脚分配、下载等过程,具备初步的独立设计能力;

② 学会查阅技术资料和手册,合理选用设计方案、线路和器件; ③ 培养学生独立分析和解决问题的能力; ④ 使学生掌握一定的设计与实践技能;

⑤ 撰写规范的设计总结报告,培养严谨的作风和科学的态度。

三、 课程设计内容及安排

课程设计内容主要依托于EDA技术课程,课程设计题目涉及了众多类的设计问题,具体内容应该由课程设计指导书进行详细阐述。

选题要符合本课程的教学要求,通常应包含EDA技术课程主要内容,并强调各部分知识的综合应用。注意选题内容的先进性、综合性、实践性,应适合实践教学和启发创新,选题内容不应太简单,难度要适中;最好结合工程实际情况进行选题,反映EDA技术的新水平,并且有一定的实用价值;成果宜具有相对完整功能。

课程设计的具体实施安排可以采用两种形式,一种是每人独立完成分配给自己的课程设计题目,这适用于题目工作量要求不高的情况;另一种是采用分组的方式,将学生2~3人为一组,完成一组综合题或一道工作量要求较高的题目。具体采用那种方式可以在进行课程设计安排时可以灵活掌握,要注意的是尽量争取学生课程设计的题目不一样,从而避免课程设计成果雷同,降低课程学习效果。

通过两周的课程设计,学生完成面向对象课程设计题目,教师最终对学生提交的软件和课程设计报告进行验收。

四、 指导方式

① 选定设计课题,下达设计任务

选题可由指导教师选定,或由指导教师提供几个选题供学生选择;也可由学生自己选题,但学生选题需通过指导教师批准。课题应在设计周之前提前公布,以便学生有充分的设计准备时间。

指导教师在公布课程设计课题时一般应包括以下内容:课题名称、设计任务、技术指标和要求、主要参考文献等内容。

② 教师讲解

a.介绍课程设计的内容、要求、安排、考核方法、注意事项

b.讲授必要的课题背景和相关知识、原理。着重帮助学生明确任务,理解电子系统的一般设计方法、安装、调测方法。

③ 学生查询资料,并进行设计

设计内容:系统总体设计方案;系统分析与设计(各模块及其顶层文件的设计、编程);完整的系统框图;调测方案、步骤等。

④ 教师审查

审查设计方案是否合理、正确、可行,否则要求调整或整改。教师记录学生的相应成绩。 ⑤ 学生上机调试和下载

通过教师审查后,即开始调试。学生根据编写的程序,上机调试和下载测试,调试工作原则上由学生独立,完成对理论设计进行时序仿真和优化。教师以兼顾培养学生的独立工作能力和在规定时间内完成设计任务为宗旨,视具体情况给予适当指导。应对实践纪律和态度提出严格要求,督促、激发、引导学生圆满完成实践任务。

五、课程设计考核方法及成绩评定

课程设计考核成绩由三个方面组成:

(一)学生出勤成绩

学生出勤成绩根据学生在课程设计上课期间的出勤记录进行评定,占最终成绩的20%。

(二)课程设计答辩成绩

课程设计答辩成绩根据指导教师对于学生编写的程序质量及学生对于老师问题的回答情况进行评定,占最终成绩的40%。

学生在系统达到功能和指标要求后,保持系统的测量现场,申请指导教师验收。对达到设计指标要求的,教师将对其综合应用能力和实验能力进行简单的答辩考查,然后给出实际操作分。未达到设计指标要求的,则要求其调整和改进,直到达标。要求仿真结果符合课程设计选题的要求,并能在调试基础上进行优化设计,电路图布局合理,线路清楚。

(三)课程设计论文成绩

课程设计论文成绩根据学生的论文质量由指导教师进行评定,占最终成绩的40% 课程设计最终的成绩=学生出勤成绩×20%+课程设计答辩成绩×40%+课程设计论文成绩×40%。课程设计的成绩可以采用百分制整数形式,也可采用优良制形式。在采用优良制成绩时,由计算出的百分制成绩转换成相应的优良制成绩。

学生对设计的全过程做出系统的报告,按统一格式写出设计报告。撰写设计报告能训练学生编制科技报告或技术资料的能力,同时也能使设计从理论上进一步得到总结提高,所以设计报告必须独立完成。

课程设计报告应包括的主要内容有: ① 设计题目; ② 设计目的;

③ 设计任务及主要技术指标和要求; ④ 设计思想说明;

⑤ 程序清单、时序仿真、结果分析等。

⑥ 对设计成果做出评价,说明本设计的特点和存在问题,提出改进设计意见; ⑦ 通过课程设计所得到的收获和体会; ⑧主要参考文献。

课程设计报告应认真、规范、正确。

六、课程设计教材及主要参考书目

《EDA技术实用教程》(第二版),潘松编,科学出版社,2004 《电子电路EDA技术》,赵世强编,西安电子科技大学出版社,2002 《EDA技术及应用》,潭会生编,西安电子科技大学出版社,2003 《EDA技术与应用》,汪国强等编著,电子工业出版社,2005 《EDA技术习题与实验》,汪国强等编著,电子工业出版社,2005

编写人: 王红 魏英姿 周帆

审核人: 胡玉兰 批准人: 张焕君

第四篇:EDA课程设计时钟

EDA

课程设计

姓名:

学号:

班级:自动化

设计题目

多功能数字钟电路设计

设计任务及要求

多功能数字钟应该具有的功能有:显示时—分—秒、小时和分钟可调等基本功能。整个钟表的工作应该是在1Hz信号的作用下进行,这样每来一个时钟信号,秒增加1秒,当秒从59秒跳转到00秒时,分钟增加1分,同时当分钟从59分跳转到00分时,小时增加1小时,小时的范围为0~23时。

在实验中为了显示的方便,由于分钟和秒钟显示的范围都是从0~59,所以可以用一个3位的二进制码显示十位,用一个四位的二进制码(BCD码)显示个位,对于小时因为他的范围是从0~23,所以可以用一个2位的二进制码显示十位,用一个4位的二进制码(BCD码)显示个位。

实验中由于七段码管是扫描的方式

显示,所以虽然时钟需要的是1Hz时钟信号,但是扫描需要一个比较高频率的信号,因此为了得到准确的1Hz信号,必须对输入的系统时钟50Mhz进行分频。

调整时间的按键用按键模块的S1和S2,S1调节小时,每按下一次,小时增加一个小时;S2调整分钟,每按下一次,分钟增加一分钟。另外用S8按键作为系统时钟复位,复位后全部显示00—00—00。

三.基于Verilog

HDL语言的电路设计、仿真与综合

(一)顶层模块

本程序采用结构化设计方法,将其分为彼此独立又有一定联系的三个模块,如图1所示:

图1:顶层结构框图

(二)子模块

1.分频器

分频器的作用是对50Mhz的系统时钟信号进行分频,得到频率为1000hz的信号,作为显示器的输入信号。

源程序如下:

module

fenpin(input

CP,

output

CPout

);

reg

CPout;

reg

[31:0]

Cout;

reg

CP_En;

always

@(posedge

CP

)

//将50MHz分频为1kHz

begin

Cout

<=

(Cout

==

32'd50000)

?

32'd0

:

(Cout

+

32'd1);

CP_En

<=

(Cout

==

32'd50000)

?

1'd1

:

1'd0;

CPout

<=

CP_En;

end

endmodule

功能仿真波形如图2所示(以五分频为例):

2.控制器和计数器

控制器的作用是,调整小时和分钟的值,并能实现清零功能。计数器的作用是实现分钟和秒钟满60进1,小时则由23跳到00。当到达59分55秒的时候,LED灯会闪烁来进行报时。因为控制器和计数器的驱动信号频率均为1Hz,故从分频器输出的信号进入控制器后,要进行二次分频,由1Khz变为1Hz。

if(Clk_En)

begin

if(R1==1)

begin

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

end

R1=0;

end

if(R2==1)

begin

if(Minute<60)

Minute=Minute+1;

if(Minute==60)

begin

Minute=0;

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

End

end

R2=0;

end

if(Second<60)

Second=Second+1;

if(Second==60)

begin

Second=0;

if(Minute<60)

Minute=Minute+1;

源程序如下:

module

kongzhiqi(

CPout,S1,S2,RET,

Hour,Minute,Second,LED

);

input

CPout,S1,S2,RET;

output

[5:0]

Hour;

output

[5:0]

Minute;

output

[5:0]

Second;

output

LED;

reg

[5:0]

Hour;

reg

[5:0]

Minute;

reg

[5:0]

Second;

reg

R1;

reg

R2,R8,LED;

reg

[10:0]

Cout;

reg

Clk_En;

always@(posedge

CPout)

begin

if(S1==0)

begin

R1=1;

end

if(S2==0)

begin

R2=1;

end

if(RET==0)

begin

R8=1;

end

Cout=(Cout==32'd1000)?32'd0:(Cout

+

32'd1);

Clk_En=(Cout==32'd1000)?1'd1:1'd0;

LED=1;

end

else

LED=0;

if(R8==1)//清零

begin

Hour=0;

Minute=0;

Second=0;

R8=0;

end

end

end

endmod

if(Minute==60)

begin

Minute=0;

if(Hour<24)

Hour=Hour+1;

if(Hour==24)

begin

Hour=0;

end

end

end

if((Minute==59)&&(Second>55))

begin

if(LED==1)

LED=0;

else

功能仿真波形如图3所示:

3.显示器

显示器的作用是将时—分—秒的值在数码管上依次显示出来。从分频器输出的1Khz的信号作为数码管的扫描信号。SEL

表示三个数码管选择位,它的取值表示八个数码管,从左至右依次是111~000。LEDGA表示七段数码管,它的取值决定特定位数上显示的数字。

源程序如下:

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b100)

Led=7'b1000_000;

if(SEL==3'b011)

case(shiwei2)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

module

xianshi(

CPout,Hour,Minute,

Second,SEL,LEDAG

);

input

CPout;

input

Hour,Minute,

Second;

output

SEL,LEDAG;

reg

[2:0]

SEL;

reg

[6:0]

Led;

reg

[3:0]

shi1,ge1,shi2,ge2,shi3,ge3;

always

@(posedge

CPout

)

begin

shiwei1=Hour/10;

gewei1=Hour%10;

shiwei2=Minute/10;

gewei2=Minute%10;

shiwei3=Second/10;

gewei3=Second%10;

if(SEL==3'b110)

case(shiwei1)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b101)

case(gewei1)

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b111)

case(gewei3)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

SEL

=

SEL

+

3'd1;

end

assign

LEDAG=Led;

endmodule

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b010)

case(gewei2)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

default:

Led

=

7'b0000_000;

endcase

if(SEL==3'b001)

Led=7'b1000_000;

if(SEL==3'b000)

case(shiwei3)

4'b0000:

Led

=

7'b0111_111;

4'b0001:

Led

=

7'b0000_110;

4'b0010:

Led

=

7'b1011_011;

4'b0011:

Led

=

7'b1001_111;

4'b0100:

Led

=

7'b1100_110;

4'b0101:

Led

=

7'b1101_101;

4'b0110:

Led

=

7'b1111_101;

4'b0111:

Led

=

7'b0000_111;

4'b1000:

Led

=

7'b1111_111;

4'b1001:

Led

=

7'b1101_111;

总结体会

这次课程设计虽然只有短短的四天,但我的收获却很大。通过这次实习,我掌握了EDA设计的基本流程(即设计输入—编译—调试—仿真—下载),领会了自顶而下结构化设计的优点,并具备了初步的EDA程序设计能力。

我感觉,这个程序最难的地方在于顶层模块的设计,因为顶层模块需要将各个子模块按照电路原理有机地结合起来,这需要扎实的理论功底,而这正是我所欠缺的。相比而言,子模块的设计就容易多了,因为Verilog语言和C语言有很多相似之处,只要明白了实验原理,就不难完成,水平的高下只体现在程序的简洁与否。Verilog源程序的编写很容易出现错误,这就需要耐心的调试。因为很多情况下,一长串的错误往往是由一个不经意的小错误引起的。当程序屡调屡错的时候,最好和其他同学沟通交流一下,他们不经意的一句话,就可能给我启发,使问题迎刃而解。

这次实习,给我感触最深的还是行为态度问题。人的能力有大有小,但只要端正态度,不抛弃,不放弃,任何人都能取得令自己满意的成绩。在此,我由衷的感谢在这次课程设计中给了我巨大帮助的老师和同学们!

第五篇:EDA课程设计--课题选择

EDA课程设计

Electronics Design Automation

课程编号:04××2×××

时:1周

学分:1 课程性质:必修

选课对象:自动化系,电气工程系,生物医学工程系

内容概要:利用EDA软件和下载工具实现电子技术综合问题的设计。

建议选用教材:《电子技术实验指导书》李国丽、朱维勇主编,中国科技大学出版社 主要参考书:《电子技术基础 模拟部分》康华光主编,高教出版社

《数字电子技术基础》阎石主编,高教出版社

《EDA课程设计》教学大纲

学时:1周 学分:1

教学大纲说明

一、课程的目的与任务

《EDA课程设计》(注:EDA即电子设计自动化,Electronics Design Automation)是继《模拟电子技术基础》、《数字电子技术基础》、《电子技术基础实验》课程后,电气类、自控类和电子类等专业学生在电子技术实验技能方面综合性质的实验训练课程,是电子技术基础的一个部分,其目的和任务是通过一周的时间,让学生掌握EDA的基本方法,熟悉一种EDA软件(MAXPLUS2),并能利用EDA软件设计一个电子技术综合问题,并在实验板上成功下载,为以后进行工程实际问题的研究打下设计基础。

二、课程的基本要求

1、 通过课程设计使学生能熟练掌握一种EDA软件(MAXPLUS2)的使用方法,能熟练进行设计输入、编译、管脚分配、下载等过程。

2、 通过课程设计使学生能利用EDA软件(MAXPLUS2)进行至少一个电子技术综合问题的设计(内容可由老师指定或自由选择),设计输入可采用图形输入法或AHDL硬件描述语言输入法。

3、 通过课程设计使学生初步具有分析、寻找和排除电子电路中常见故障的能力。

4、 通过课程设计使学生能独立写出严谨的、有理论根据的、实事求是的、文理通顺的字迹端正的课程设计报告。

5、 考查形式为:结合课程设计中的能力表现和设计报告,综合评分。

三、与其他课程的联系与分工

《EDA课程设计》中应用了《模拟电子技术基础》、《数字电子技术基础》中的基础知识和基本理论,并且利用了《电子技术基础实验》中的基本实验方法,在时间上的安排上应该在这些课程之后。

《EDA课程设计》的后续课程是《微机原理》等其它专业课程,《EDA课程设计》中对学生综合设计能力的培养将为这些后续课程的学习打下良好基础。

四、主要设备及器材配置

计算机、EDA软件(MAXPLUS2)、下载实验箱。

五、本课程的性质及适应对象

自动化、电气工程、生物医学工程专业必修

教学大纲内容

设计一 数字式竞赛抢答器

1、设计一个可容纳6组(或4组)参赛的数字式抢答器,每组设一个按钮,供抢答使用。

2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。

3、设置一个主持人“复位”按钮。

4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3秒的音响。

5、设置一个计分电路,每组开始预置100分,由主持人记分,答对一次加10分,答错一次减10分。 教学提示:

1、 此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。

2、 形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬声器发出音响。

3、 计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10分,所以个位始终为零,只要十位、百位进行加/减运算即可。

设计二 数字钟

1、 设计一个能显示1/10秒、秒、分、时的12小时数字钟。

2、 熟练掌握各种计数器的使用。

3、 能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。

4、 能用低位的进位输出构成高位的计数脉冲。

教学提示:

1、时钟源使用频率为0.1Hz的连续脉冲。

2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。

3、时钟显示使用数码管显示。

4、“时显示”部分应注意12点后显示1点。

5、注意各部分的关系,由低位到高位逐级设计、调试。

设计三 数字频率计

1、 设计一个能测量方波信号的频率的频率计。

2、 测量的频率范围是0999999Hz。

3、 结果用十进制数显示。

教学提示:

1、 脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。

2、 被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。

3、 再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。

4、 时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。

5、 f=N/T,改变时基信号的周期T,即可得到不同的测频范围。

6、 当主控门关闭时,计数器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。

7、 改变量程时,小数点能自动移位。 设计四 拔河游戏机

1、 设计一个能进行拔河游戏的电路。

2、 电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。

3、 游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。

4、 亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。

5、 用数码管显示获胜者的盘数。

教学提示:

1、 按钮信号即输入的脉冲信号,每按一次按钮都应能进行有效的计数。

2、 用可逆计数器的加、减计数输入端分别接受两路脉冲信号,可逆计数器原始输出状态为0000,经译码器输出,使中间一只二极管发亮。

3、 当计数器进行加法计数时,亮点向右移;进行减法计数时,亮点向左移。

4、 由一个控制电路指示谁胜谁负,当亮点移到任一方终端时,由控制电路产生一个信号,使计数器停止计数。

5、 将双方终端二极管“点亮”信号分别接两个计数器的“使能”端,当一方取胜时,相应的计数器进行一次计数,这样得到双方取胜次数的显示。

6、 设置一个“复位”按钮,使亮点回到中心,取胜计数器也要设置一个“复位”按钮,使之能清零。

设计五 乒乓球比赛游戏机

1、 设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。

2、 用8个(或更多个)LED排成一条直线,以中点为界,两边各代表参赛双方的位置,其中一只点亮的LED指示球的当前位置,点亮的LED依此从左到右,或从右到左,其移动的速度应能调节。

3、 当“球”(点亮的那只LED)运动到某方的最后一位时,参赛者应能果断地按下位于自己一方的按钮开关,即表示启动球拍击球。若击中,则球向相反方向移动;若未击中,则对方得1分。

4、 一方得分时,电路自动响铃3秒,这期间发球无效,等铃声停止后方能继续比赛。

5、 设置自动记分电路,甲、乙双方各用2位数码管进行记分显示,每计满21分为1局。

6、 甲、乙双方各设一个发光二极管,表示拥有发球权,每隔5次自动交换发球权,拥有发球权的一方发球才有效。

教学提示:

1、 用双向移位寄存器的输出端控制LED显示来模拟乒乓球运动的轨迹,先点亮位于某一方的第1个LED,由击球者通过按钮输入开关信号,实现移位方向的控制。

2、 也可用计数译码方式实现乒乓球运动轨迹的模拟,如利用加/减计数器的2个时钟信号实现甲、乙双方的击球,由表示球拍的按钮产生计数时钟,计数器的输出状态经译码驱动LED发亮。

3、 任何时刻都保持一个LED发亮,若发亮的LED运动到对方的终点,但对方未能及时输入信号使其向相反方向移动,即失去1分。

4、 控制电路决定整个系统的协调动作,必须严格掌握各信号之间的关系。

设计六 交通信号等控制器

1、 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。

2、 红、绿、黄发光二极管作信号灯,用传感器或逻辑开关作检测车辆是否到来的信号。

3、 主干道处于常允许通行的状态,支干道有车来时才允许通行。主干道亮绿灯时,支干道亮红灯;支干道亮绿灯时,主干道亮红灯。

4、 主、支干道均有车时,两者交替允许通行,主干道每次放行45秒,支干道每次放行25秒,设立45秒、25秒计时、显示电路。

5、 在每次由绿灯亮到红灯亮的转换过程中,要亮5秒黄灯作为过渡,使行驶中的车辆有时间停到禁行线外,设立5秒计时、显示电路。

教学提示:

1、 主、支干道用传感器检测车辆到来情况,实验电路用逻辑开关代替。

2、 选择1HZ时钟脉冲作为系统时钟。

3、 45秒、25秒、5秒定时信号可用顺计时,也可用倒计时,计时起始信号由主控电路给出,每当计满所需时间,即向主控电路输出“时间到”信号,并使计数器清零,由主控电路启、闭三色信号灯或启动另一计时电路。

4、 主控电路是核心,这是一个时序电路,其输入信号为:车辆检测信号(A,B,;45秒、25秒、5秒定时信号(C,D,E),其输出状态控制相应的三色灯。主控电路可以由两个JK触发器和逻辑门构成,其输出经译码后,控制主干道三色灯R、G、Y和支干道三色灯r、g、y。

设计七 电子密码锁

1、 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;

2、 在锁的控制电路中储存一个可以修改的4位代码,当开锁按钮开关(可设置成6位至8位,其中实际有效为4位,其余为虚设)的输入代码等于储存代码时,开锁;

3、 从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。 教学提示:

1、 该题的主要任务是产生一个开锁信号,而开锁信号的形成条件是,输入代码和已设密码相同。实现这种功能的电路构思有多种,例如,用两片8位锁存器,一片存入密码,另一片输入开锁的代码,通过比较的方式,若两者相等,则形成开锁信号。

2、 在产生开锁信号后,要求输出声、光信号,声音的产生由开锁信号触动扬声器工作,光信号由开锁信号点亮LED指示灯;

3、 用按钮开关的第一个动作信号触发一个5秒定时器,若5秒内无开锁信号产生,让扬声器发出特殊音响,以示警告,并输出一个信号推动LED不断闪烁。

设计八 彩灯控制器

1、 设计一个彩灯控制器,使彩灯(LED管)能连续发出四种以上不同的显示形式;

2、随着彩灯显示图案的变化,发出不同的音响声。

教学提示:

1、彩灯显示的不同形式可由不同进制计数器驱动LED显示完成;

2、 音响由选择不同频率CP脉冲驱动扬声器形成。

设计九 脉冲按键电话显示器

1、 设计一个具有8位显示的电话按键显示器;

2、 能准确地反映按键数字;

3、 显示器显示从低位向高位前移,逐位显示按键数字,最低位为当前输入位;

4、 *设置一个“重拨”键,按下此键,能显示最后一次输入的电话号码;

5、 *挂机2秒后或按熄灭按键,熄灭显示器显示。 教学提示:

1、 利用中规模计数器的予置数功能可以实现不同的按键对应不同的数字;

2、 设置一个计数器记录按键次数,从而实现数字显示的移位。

设计十 简易电子琴

1、 设计一个简易电子琴;

2、 利用实验箱的脉冲源产生1,2,3,。。。共7个或14个音阶信号;

3、 用指示灯显示节拍;

4、 *能产生颤音效果。

教学提示:

1、各音阶信号由脉冲源经分频得到。

设计十一 出租车自动计费器

1、 设计一个出租车自动计费器,具有行车里程计费、等候时间计费、及起价三部分,用四位数码管显示总金额,最大值为99。99元;

2、 行车里程单价1元/公里,等候时间单价0。5元/10分钟,起价3元(3公里起价)均能通过人工输入。

3、 行车里程的计费电路将汽车行驶的里程数转换成与之成正比的脉冲数,然后由计数译码电路转换成收费金额,实验中以一个脉冲模拟汽车前进十米,则每100个脉冲表示1公里,然后用BCD码比例乘法器将里程脉冲乘以每公里单价的比例系数,比例系数可由开关预置。例如单价是1。0元/公里,则脉冲当量为0。01元/脉冲。

4、 用LED显示行驶公里数,两个数码管显示收费金额。

教学提示:

1、 等候时间计费需将等候时间转换成脉冲个数,用每个脉冲表示的金额与脉冲数相乘即得计费数,例如100个脉冲表示10分钟,而10分钟收费0。5元,则脉冲当量为0。05元/脉冲,如果将脉冲当量设置成与行车里程计费相同(0。01元/脉冲),则10分钟内的脉冲数应为500个。

2、 用LED显示等候时间,两个数码管表示等候时间收费金额。

3、 用加法器将几项收费相加,P=P1+P2+P3,

4、 P1为起价,P2为行车里程计费,P3为等候时间计费,用两个数码管表示结果。

设计十二 洗衣机控制器

1、 设计一个电子定时器,控制洗衣机作如下运转:定时启动正转20秒暂停10秒反转20秒暂停10秒定时未到回到“正转20秒暂停10秒……”,定时到则停止;

2、 若定时到,则停机发出音响信号;

3、 用两个数码管显示洗涤的预置时间(分钟数),按倒计时方式对洗涤过程作计时显示,直到时间到停机;洗涤过程由“开始”信号开始;

4、三只LED灯表示“正转”、“反转”、“暂停”三个状态。 教学提示:

1、 设计20秒、10秒定时电路。

2、 电路输出为“正转”、“反转”、“暂停”三个状态。

3、 按照设计要求,用定时器的“时间到”信号启动相应的下一个定时器工作,直到整个过程结束。

建议选用教材和参考书目

选用教材: 《电子技术实验指导书》,李国丽,朱维勇主编。中国科技大学出版社 主要参考书:《电子技术基础

模拟部分》(第四版),康华光主编,高教出版社

《数字电子技术基础》(第四版),阎石主编,高教出版社

上一篇
下一篇
返回顶部